<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁 >> 主題列表 >> verilog-xl

          HDLC協(xié)議控制器的IP核方案及其實(shí)現(xiàn)

          • 介紹了HDLC協(xié)議控制器的IP核方案及實(shí)現(xiàn)方法,分別對(duì)發(fā)送和接收模塊進(jìn)行了分析,給出了仿真波形圖。該設(shè)計(jì)采用Verilog HDL語言進(jìn)行描述,用ModelSim SE 6.0進(jìn)行了功能仿真。
          • 關(guān)鍵字: IP核  Verilog  HDLC協(xié)議控制器  

          采用Verilog的數(shù)字跑表設(shè)計(jì)及實(shí)驗(yàn)

          • 本節(jié)通過Verilog HDL語言編寫一個(gè)具有“百分秒、秒、分”計(jì)時(shí)功能的數(shù)字跑表,可以實(shí)現(xiàn)一個(gè)小時(shí)以內(nèi)精確至百分之一秒的計(jì)時(shí)。
          • 關(guān)鍵字: 計(jì)數(shù)器  數(shù)字跑表  Verilog  

          基于Verilog HDL的I2C總線功能的實(shí)現(xiàn)

          • 簡述了I2C總線的特點(diǎn);介紹了開發(fā)FPGA時(shí)I2C總線模塊的設(shè)計(jì)思路;給出并解釋了用Verilog HDL實(shí)現(xiàn)部分I2C總線功能的程序,以及I2C總線主從模式下的仿真時(shí)序圖。
          • 關(guān)鍵字: Verilog  I2C  仿真時(shí)序  

          基于Verilog的SMBus總線控制器的設(shè)計(jì)與實(shí)現(xiàn)

          • SMBus是一種高效的同步串行總線。通過分析SMBus總線協(xié)議,提出了一種運(yùn)行于基于PCI-Express技術(shù)的橋接芯片上的SMBus控制器的設(shè)計(jì)方案,并且用Verilog語言描述,最后在Altera公司的FPGA上得以實(shí)現(xiàn)。通過仿真測(cè)試,證明該方法是穩(wěn)定有效的。
          • 關(guān)鍵字: SMBus總線  Verilog  有限狀態(tài)機(jī)  

          基于FPGA實(shí)現(xiàn)CPCI數(shù)據(jù)通信

          • 本文設(shè)計(jì)的系統(tǒng)采用PLX公司生產(chǎn)的CPCI協(xié)議轉(zhuǎn)換芯片PCI9054,通過Verilog HDL語言在FPGA中產(chǎn)生相應(yīng)的控制信號(hào),完成對(duì)數(shù)據(jù)的快速讀寫,從而實(shí)現(xiàn)了與CPCI總線的高速數(shù)據(jù)通信。
          • 關(guān)鍵字: CPCI協(xié)議轉(zhuǎn)換  Verilog  FPGA  

          抗故障攻擊的專用芯片存儲(chǔ)單元設(shè)計(jì)

          • 在復(fù)用檢測(cè)和線性校驗(yàn)碼檢測(cè)的基礎(chǔ)上,提出互補(bǔ)存儲(chǔ)、奇偶校驗(yàn)和漢明碼校驗(yàn)三種存儲(chǔ)單元的抗故障攻擊防護(hù)方案。應(yīng)用這三種方案,用硬件描述語言Verilog設(shè)計(jì)了三種抗故障攻擊雙端口RAM存儲(chǔ)器,在Altera 公司的器件EP1C12Q240C8上予以實(shí)現(xiàn)。
          • 關(guān)鍵字: 漢明碼校驗(yàn)  存儲(chǔ)單元  Verilog  

          基于Avalon-ST接口幀讀取IP核的設(shè)計(jì)和應(yīng)用

          • 研究基于Avalon-ST接口幀讀取的IP核設(shè)計(jì)應(yīng)用,通過Avalon-ST接口將外部存儲(chǔ)中不同格式的幀數(shù)據(jù)轉(zhuǎn)化為視頻流輸出。根據(jù)Avalon總線協(xié)議及Avalon-ST視頻協(xié)議研究設(shè)計(jì)方案,使用Verilog HDL語言對(duì)模塊進(jìn)行硬件設(shè)計(jì),并將實(shí)現(xiàn)的模塊進(jìn)行測(cè)試。
          • 關(guān)鍵字: Avalon-ST  IP核  Verilog  

          基于FPGA和Verilog的LCD控制器設(shè)計(jì)

          • 本文選用Xilinx公司的SpananIII系列XC3S200器件,利用硬件描述語言Verilog設(shè)計(jì)了液晶顯示擰制器,實(shí)現(xiàn)了替代專用集成電路驅(qū)動(dòng)控制LCD的作用。
          • 關(guān)鍵字: Verilog  液晶顯示擰制器  LCD  

          基于FPGA的3D圖像處理器IP核的實(shí)現(xiàn)

          • LCD顯示屏的應(yīng)用越來越廣,數(shù)量越來越多。LCD顯示屏應(yīng)用廣泛,無處不在。如家庭各種電器設(shè)備。更常見是用于各種公共場(chǎng)合如體育館、廣場(chǎng)等商業(yè)用途。給我們傳遞一種更為直觀、生動(dòng)的信息。從此我們的生活發(fā)生了巨大改變。巨大的應(yīng)用巨大的市場(chǎng)帶來了巨大的商機(jī)?;贔PGA的LCD顯示的3D影像是為了LCD顯示屏的信息量更多,滿足人需求。
          • 關(guān)鍵字: IP核  3D圖像處理器  FPGA  LCD  Verilog  

          基于至簡設(shè)計(jì)法實(shí)現(xiàn)的PWM調(diào)制verilog

          •   一、 功能描述  脈沖寬度調(diào)制(pulse width modelation)簡稱PWM,利用微處理器的數(shù)字輸出來對(duì)模擬電路進(jìn)行控制的一種非常有效的技術(shù),廣泛應(yīng)用在從測(cè)量、通信到功率控制與變換的許多領(lǐng)域中脈沖寬度調(diào)制是利用微處理器的數(shù)字輸出來對(duì)模擬電路進(jìn)行控制的一種非常有效的技術(shù),廣泛應(yīng)用在從測(cè)量、通信到功率控制與變換的許多領(lǐng)域中。  在本章的應(yīng)用中可以認(rèn)為PWM就是一種方波。如圖所示:       PWM波形圖  上圖是一個(gè)周期為10ms,高電平為
          • 關(guān)鍵字: PWM  verilog  

          Verilog HDL硬件描述語言:task和function說明語句的區(qū)別

          • task和function說明語句的區(qū)別task和function說明語句分別用來定義任務(wù)和函數(shù)。利用任務(wù)和函數(shù)可以把一個(gè)很大的程序模塊分解成許多較小的任務(wù)和函數(shù)便于理解和調(diào)試。輸入、輸出和總線信號(hào)的值可以傳入或傳出任務(wù)和函
          • 關(guān)鍵字: function  Verilog  task  HDL    

          Verilog HDL設(shè)計(jì)進(jìn)階:有限狀態(tài)機(jī)的設(shè)計(jì)原理及其代碼風(fēng)格

          • 由于Verilog HDL和 VHDL 行為描述用于綜合的歷史還只有短短的幾年,可綜合風(fēng)格的Verilog HDL 和VHDL的語法只是它們各自語言的一個(gè)子集。又由于HDL的可綜合性研究近年來非?;钴S,可綜合子集的國際標(biāo)準(zhǔn)目前尚未最后形
          • 關(guān)鍵字: Verilog  HDL  進(jìn)階  代碼    

          基于FPGA的自適應(yīng)均衡器的研究與設(shè)計(jì)

          • 摘要:近年來,自適應(yīng)均衡技術(shù)在通信系統(tǒng)中的應(yīng)用日益廣泛,利用自適應(yīng)均衡技術(shù)在多徑環(huán)境中可以有效地提高數(shù)字接收機(jī)的性能。為了適應(yīng)寬帶數(shù)字接收機(jī)的高速率特點(diǎn),本文闡述了自適應(yīng)均衡器的原理并對(duì)其進(jìn)行改進(jìn)。最
          • 關(guān)鍵字: 自適應(yīng)均衡器  寬帶數(shù)字接收機(jī)  FPGA  Verilog HDL  

          基于FPGA的高速長線陣CCD驅(qū)動(dòng)電路

          • 高速長線陣CCD(電荷耦合器)具有低功耗,小體積,高精度等優(yōu)勢(shì),廣泛應(yīng)用于航天退掃系統(tǒng)中的圖像數(shù)據(jù)采集。而CCD驅(qū)動(dòng)電路設(shè)計(jì)是CCD正常工作的關(guān)鍵問題之一,CCD驅(qū)動(dòng)信號(hào)時(shí)序是一組相位要求嚴(yán)格的脈沖信號(hào),只有時(shí)序信
          • 關(guān)鍵字: CCD  線陣  FPGA  verilog HDL  

          對(duì) Verilog 和 VHDL 說再見!

          • 上周我跟我同事說,“ 兩種語言阻礙了嵌入式系統(tǒng)開發(fā)人員和軟件工程師借助Zynq SOCs來提升系統(tǒng)性能。”那就是“Verilog” 和 “VHDL”正如期待那樣,這已經(jīng)得到了解決—因?yàn)镾D
          • 關(guān)鍵字: Verilog    VHDL    SDSoC  
          共188條 5/13 |‹ « 3 4 5 6 7 8 9 10 11 12 » ›|

          verilog-xl介紹

          您好,目前還沒有人創(chuàng)建詞條verilog-xl!
          歡迎您創(chuàng)建該詞條,闡述對(duì)verilog-xl的理解,并與今后在此搜索verilog-xl的朋友們分享。    創(chuàng)建詞條

          熱門主題

          Verilog-XL    樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();