<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> verilog-xl

          基于CMOS或CCD圖像傳感器的經(jīng)典設(shè)計及技術(shù)文獻(xiàn)匯總

          •   圖像傳感器,或稱感光元件,是一種將光學(xué)圖像轉(zhuǎn)換成電子信號的設(shè)備,它被廣泛地應(yīng)用在數(shù)碼相機(jī)和其他電子光學(xué)設(shè)備中。早期的圖像傳感器采用模擬信號,如攝像管(video camera tube)。如今,圖像傳感器主要分為感光耦合元件(charge-coupled device, CCD)和互補(bǔ)式金屬氧化物半導(dǎo)體有源像素傳感器(CMOS Active pixel sensor)兩種。本文介紹基于CMOS或CCD兩種圖像傳感器的應(yīng)用及技術(shù)文獻(xiàn),供大家參考。   基于USB傳輸及CMOS圖像傳感器的指紋識別儀的實(shí)
          • 關(guān)鍵字: Verilog HDL  QuartusⅡ  VHDL  

          零基礎(chǔ)學(xué)FPGA(八)手把手解析時序邏輯乘法器代碼

          •   上次看了一下關(guān)于乘法器的Verilog代碼,有幾個地方一直很迷惑,相信很多初學(xué)者看這段代碼一定跟我當(dāng)初一樣,看得一頭霧水,在網(wǎng)上也有一些網(wǎng)友提問,說這段代碼不好理解,今天小墨同學(xué)就和大家一起來看一下這段代碼,我會親自在草稿紙上演算,盡量把過程寫的詳細(xì)些,讓更多的人了解乘法器的設(shè)計思路。   下面是一段16位乘法器的代碼,大家可以先瀏覽一下,之后我再做詳細(xì)解釋   module mux16(   clk,rst_n,   start,ain,bin,yout,done   );   inpu
          • 關(guān)鍵字: FPGA  Verilog  時序邏輯  

          【從零開始走進(jìn)FPGA】前言:哪些人適合做FPGA開發(fā)?

          •   “FPGA目前非常火,各個高校也開了FPGA的課程,但是FPGA并不是每個人都適合,F(xiàn)PGA講究的是一個入道,入什么道,入電子設(shè)計的道,就是說,這個過程,你得從電子設(shè)計開始,然后再學(xué)FPGA,而不是先從VHDL/Verilog開始,直接跳過數(shù)電模電。這一點(diǎn)非常重要,這涉及到你以后的發(fā)展高度的問題。我是過來人,我深刻體會到FPGA與數(shù)電模電的基礎(chǔ)的深層次聯(lián)系。對于本科生而言,你可以把FPGA當(dāng)作業(yè)余興趣,但不要把它當(dāng)成今后的飯碗,你可以保持這個興趣直到研究生讀完。從我招聘的情況來看,做FPG
          • 關(guān)鍵字: FPGA  Verilog  SRAM  

          基于VerilogHDL的FIR數(shù)字濾波器設(shè)計與仿真

          •   引言   數(shù)字濾波器是語音與圖像處理、模式識別、雷達(dá)信號處理、頻譜分析等應(yīng)用中的一種基本的處理部件, 它能滿足波器對幅度和相位特性的嚴(yán)格要求, 避免模擬濾波器所無法克服的電壓漂移、溫度漂移和噪聲等問題。有限沖激響應(yīng)(FIR)濾波器能在設(shè)計任意幅頻特性的同時保證嚴(yán)格的線性相位特性。   1 FIR 數(shù)字濾波器   FIR 濾波器用當(dāng)前和過去輸入樣值的加權(quán)和來形成它的輸出, 如下所示的前饋差分方程所描述的。        FIR 濾波器又稱為移動均值濾波器, 因?yàn)槿魏螘r間點(diǎn)的輸出
          • 關(guān)鍵字: Verilog  數(shù)字濾波器  

          基于VerilogHDL的FIR數(shù)字濾波器設(shè)計與仿真

          •   引言   數(shù)字濾波器是語音與圖像處理、模式識別、雷達(dá)信號處理、頻譜分析等應(yīng)用中的一種基本的處理部件, 它能滿足波器對幅度和相位特性的嚴(yán)格要求, 避免模擬濾波器所無法克服的電壓漂移、溫度漂移和噪聲等問題。有限沖激響應(yīng)(FIR)濾波器能在設(shè)計任意幅頻特性的同時保證嚴(yán)格的線性相位特性。   1 FIR 數(shù)字濾波器   FIR 濾波器用當(dāng)前和過去輸入樣值的加權(quán)和來形成它的輸出, 如下所示的前饋差分方程所描述的。        FIR 濾波器又稱為移動均值濾波器, 因?yàn)槿魏螘r間點(diǎn)的輸出
          • 關(guān)鍵字: Verilog  FIR  數(shù)字濾波器  

          零基礎(chǔ)學(xué)FPGA(五)Verilog語法基基礎(chǔ)基礎(chǔ)(下)

          •   9、關(guān)于任務(wù)和函數(shù)的小結(jié),挑幾點(diǎn)重要的說一下吧   (1)任務(wù)具有多個輸入、輸入/輸出和輸出變量,在任務(wù)重可以使用延遲、事件和時序控制結(jié)構(gòu),在任務(wù)重可以調(diào)用其它任務(wù)和函數(shù)。與任務(wù)不同,函數(shù)具有返回值,而且至少要有一個輸入變量,而且在函數(shù)中不能使用延遲、事件和時序控制結(jié)構(gòu),函數(shù)可以條用函數(shù),但是不能調(diào)用任務(wù)。   (2)在聲明函數(shù)時,系統(tǒng)會自動的生成一個寄存器變量,函數(shù)的返回值通過這個寄存器返回到調(diào)用處。   (3)函數(shù)和任務(wù)都包含在設(shè)計層次中,可以通過層次名對他們實(shí)行調(diào)用。這句話什么意思啊?
          • 關(guān)鍵字: FPGA  Verilog  

          零基礎(chǔ)學(xué)FPGA(四)Verilog語法基基礎(chǔ)基礎(chǔ)(中)

          •   我們接著上篇文章繼續(xù)學(xué)習(xí),上次提到了兩種賦值語句,讓我們接著往下學(xué)。   1、塊語句   塊語句包括兩種,一個是順序塊,一個是并行塊。   (1)順序快   順序快就好比C語言里的大括號“{ }”,在Verilog語法中,用begin…end代替。這里只需要知道,在begin…end中間的語句是順序執(zhí)行的就行了。   (2)并行塊   并行塊可以算是一個新的知識點(diǎn),與順序塊最大的不同就是并行塊中的語句是同時開始執(zhí)行的,要想控制語句的先后順
          • 關(guān)鍵字: FPGA  Verilog  

          零基礎(chǔ)學(xué)FPGA(三)Verilog語法基基礎(chǔ)基礎(chǔ)(上)

          •   這幾天復(fù)習(xí)了一下Verilog的語法知識,就借此寫寫我對這些東西的想法吧。感覺呢,是和C語言差不多,具有C語言基礎(chǔ)的朋友學(xué)起來應(yīng)該沒什么問題,和C語言相同的地方就不說了吧,重點(diǎn)說一下不同點(diǎn)吧。   1、模塊的結(jié)構(gòu)   模塊呢,是Verilog的基本設(shè)計單元,它主要是由兩部分組成,一個是接口,另一個是邏輯。下面舉一個小例子說明一下:   module xiaomo (a,b,c,d);   input a,b;   output c,d;   assign c=a|b;   assign
          • 關(guān)鍵字: FPGA  Verilog   

          淺淡邏輯設(shè)計的學(xué)習(xí)(二)

          •   入門前   剛才開始接觸邏輯設(shè)計很多人會覺得很簡單:因?yàn)関erilog的語法不多,半天就可以把書看完了。但是很快許多人就發(fā)現(xiàn)這個想法是錯誤的,他們經(jīng)常埋怨綜合器怎么和自己的想法差別這么大:它竟然連用for循環(huán)寫的一個計數(shù)器都不認(rèn)識!   相信上一段的經(jīng)歷大部分人都曾有,原因是做邏輯設(shè)計的思維和做軟件的很不相同,我們需要從電路的角度去考慮問題。   在這個過程中首先要明白的是軟件設(shè)計和邏輯設(shè)計的不同,并理解什么是硬件意識。   軟件代碼的執(zhí)行是一個順序的過程,編繹以后的機(jī)器碼放在存儲器里,等著C
          • 關(guān)鍵字: 邏輯設(shè)計  verilog  D觸發(fā)器  

          基于Verilog HDL的SPWM全數(shù)字算法的FPGA實(shí)現(xiàn)

          •   隨著信號處理技術(shù)及集成電路制造工藝的不斷發(fā)展,全數(shù)字化SPWM(正弦脈寬調(diào)制)算法在調(diào)速領(lǐng)域越來越受到青睞。實(shí)現(xiàn)SPWM控制算法的方法很多,其中模擬比較法因電路復(fù)雜、且不易與數(shù)字系統(tǒng)連接而很少采用;傳統(tǒng)的微處理器因不能滿足電機(jī)控制所要求的較高采樣頻率(≥1 kHz)而逐漸被高性能的DSP硬件系統(tǒng)所取代,但該系統(tǒng)成本高、設(shè)計復(fù)雜。與傳統(tǒng)方法相比,在現(xiàn)場可編程邏輯器件FPGA上產(chǎn)生一種新的SPWM控制算法,具有成本低、研發(fā)周期短、執(zhí)行速度高、可擴(kuò)展能力強(qiáng)等優(yōu)點(diǎn)。該技術(shù)進(jìn)一步推動了變頻調(diào)速技術(shù)的發(fā)展。
          • 關(guān)鍵字: Verilog HDL  SPWM  FPGA  

          ChipDesign ISE 11 設(shè)計工具視點(diǎn)

          • ?  作為一個負(fù)責(zé)FPGA?企業(yè)市場營銷團(tuán)隊(duì)工作的人,我不得不說,由于在工藝技術(shù)方面的顯著成就以及硅芯片設(shè)計領(lǐng)域的獨(dú)創(chuàng)性,F(xiàn)PGA?正不斷實(shí)現(xiàn)其支持片上系統(tǒng)設(shè)計的承諾。隨著每一代新產(chǎn)品的推出,F(xiàn)PGA?在系統(tǒng)中具有越來來越多的功能,可作為協(xié)處理器、DSP?引擎以及通信平臺等,在某些應(yīng)用領(lǐng)域甚至還可用作完整的片上系統(tǒng)。  因此,在摩爾定律的作用下,F(xiàn)PGA?產(chǎn)業(yè)的門數(shù)量不斷增加,性能與專門功能逐漸加強(qiáng),使得?FPGA?在電子系統(tǒng)
          • 關(guān)鍵字: xilinx  FPGA  VHDL  Verilog  

          Verilog HDL設(shè)計進(jìn)階:有限狀態(tài)機(jī)的設(shè)計原理及其代

          • 由于Verilog HDL和 VHDL 行為描述用于綜合的歷史還只有短短的幾年,可綜合風(fēng)格的Verilog HDL 和VHDL的語法只是它們各自語言的一個子集。又由于HDL的可綜合性研究近年來非常活躍,可綜合子集的國際標(biāo)準(zhǔn)目前尚未最后形
          • 關(guān)鍵字: Verilog  HDL  進(jìn)階  代碼    

          Verilog HDL高級語法結(jié)構(gòu)―函數(shù)(function)

          • 函數(shù)的目的是返回一個用于表達(dá)式的值。
            1.函數(shù)定義語法function 返回值的類型或范圍> (函數(shù)名);
            端口說明語句>
            變量類型說明語句> begin
            語句>
            ...
            end
            endfunction 請注
          • 關(guān)鍵字: function  Verilog  HDL  函數(shù)    

          Verilog HDL高級語法結(jié)構(gòu)―任務(wù)(TASK)

          • 如果傳給任務(wù)的變量值和任務(wù)完成后接收結(jié)果的變量已定義,就可以用一條語句啟動任務(wù)。任務(wù)完成以后控制就傳回啟動過程。如任務(wù)內(nèi)部有定時控制,則啟動的時間可以與控制返回的時間不同。任務(wù)可以啟動其他的任務(wù),其他
          • 關(guān)鍵字: Verilog  TASK  HDL    

          Verilog HDL硬件描述語言:task和function說明語句

          • task和function說明語句的區(qū)別task和function說明語句分別用來定義任務(wù)和函數(shù)。利用任務(wù)和函數(shù)可以把一個很大的程序模塊分解成許多較小的任務(wù)和函數(shù)便于理解和調(diào)試。輸入、輸出和總線信號的值可以傳入或傳出任務(wù)和函
          • 關(guān)鍵字: function  Verilog  task  HDL    
          共188條 7/13 |‹ « 4 5 6 7 8 9 10 11 12 13 »

          verilog-xl介紹

          您好,目前還沒有人創(chuàng)建詞條verilog-xl!
          歡迎您創(chuàng)建該詞條,闡述對verilog-xl的理解,并與今后在此搜索verilog-xl的朋友們分享。    創(chuàng)建詞條

          熱門主題

          Verilog-XL    樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();