<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> verilog-xl

          基于Verilog HDL的SVPWM算法的設計與仿真

          • 摘要:空間矢量脈寬調制算法是電壓型逆變器控制方面的研究熱點,廣泛應用于三相電力系統(tǒng)中?;谟布腇PGA/CPLD芯片能滿足該算法對處理速度、實時性、可靠性較高的要求,本文利用Verilog HDL實現(xiàn)空間矢量脈寬調制算
          • 關鍵字: 同步電動機  電壓型逆變器  Verilog HDL  

          一種高效網絡接口的設計

          • 為了得到比傳統(tǒng)片上網絡的網絡資源接口(NI)更高的數(shù)據(jù)傳輸效率和更加穩(wěn)定的數(shù)據(jù)傳輸效果,提出了一種新的高效網絡接口的設計方法,并采用Verilog HDL語言對相關模塊進行編程,實現(xiàn)了高效傳輸功能,同時又滿足核內路由的設計要求。最終通過仿真軟件Xilinx ISE Design Suite 12.3和ModelSim SE 6.2b得到了滿足設計要求的仿真結果。
          • 關鍵字: 片上網絡  網絡資源接口  核內路由  Verilog HDL  

          基于Xilinx V5的DDR2數(shù)據(jù)解析功能實現(xiàn)

          • 基于Xilinx V5的DDR2數(shù)據(jù)解析功能實現(xiàn),摘要:介紹了一種基于Xilinx V5芯片的硬件板卡上,利用Verilog硬件編程語言,來實現(xiàn)DDR2對數(shù)據(jù)文件解析的目的:分析了CPCI總線與FPGA之間的通信特點;然后根據(jù)收到的數(shù)據(jù)文件要求,介紹了DDR2的使用方法;最后介紹了對
          • 關鍵字: Xilinx Verilog  DDR2  數(shù)據(jù)解析  信號波形  

          混合同余法產生隨機噪聲的FPGA實現(xiàn)

          • 混合同余法產生隨機噪聲的FPGA實現(xiàn),摘要:隨著電子對抗技術的快速發(fā)展,在有源式干擾機中需要用到數(shù)字高斯白噪聲。通過對混合同余法產生隨機序列的原理研究,本文提出了一種利用FPGA產生高斯白噪聲的方法。該方法在PC主控端的控制下,采用ROM查找表的方
          • 關鍵字: 高斯白噪聲  混合同余法  FPGA  Verilog HDL  

          【E課堂】verilog之可綜合與不可綜合

          •   可綜合的意思是說所編寫的代碼可以對應成具體的電路,不可綜合就是所寫代碼沒有對應的電路結構,例如行為級語法就是一種不可綜合的代碼,通常用于寫仿真測試文件?! 〗⒖删C合模型時,需注意以下幾點:  不使用initial  不使用#10之類的延時語句  不使用循環(huán)次數(shù)不確定的循環(huán)語句,如forever,while等  不使用用戶自定義原語(UDP元件)  盡量使用同步方式設計電路  用always塊來描述組合邏輯時,應列出所有輸入信號作為敏感信號列表,即always@(*)  所有的內部寄存器都應該能夠被復
          • 關鍵字: verilog  FPGA  

          D觸發(fā)器Verilog描述

          •   //基本D觸發(fā)器  module D_EF(Q,D,CLK)  input D,CLK;  output Q;  reg Q; //在always語句中被賦值的信號要聲明為reg類型 寄存器定義  always @ (posedge CLK) //上升沿,下降沿用negedge表示,^_^ 需要記憶  begin Q <= D; end  endm
          • 關鍵字: D觸發(fā)器  Verilog  

          數(shù)字電路設計入門之數(shù)字設計的任務和兩項基本功

          •   這次我們講一講如何入門學習硬件描述語言和數(shù)字邏輯電路;學習數(shù)字邏輯電路,我推薦的一本書就是--《數(shù)字設計-原理與實踐》,其他的深入點可以看看《完整數(shù)字設計》;而對于硬件描述語言呢?有兩個原則,一個是買書的原則,一個是看書的原則。首先,你必須買兩類書,一類是語法書,平常使用的時候可以查一查某些語法;一類是,對語言的使用的講解和使用的方法(如何書寫RTL,如何設計電路,如何調試代碼,使用仿真器等);我用過一年的VHDL和兩年的Verilog;作為過來人,我想介紹一些比較好的書給入門者,避免大家走彎路。
          • 關鍵字: VHDL  Verilog  

          數(shù)字電路設計入門之數(shù)字設計的任務和兩項基本功

          •   這次我們講一講如何入門學習硬件描述語言和數(shù)字邏輯電路;學習數(shù)字邏輯電路,我推薦的一本書就是--《數(shù)字設計-原理與實踐》,其他的深入點可以看看《完整數(shù)字設計》;而對于硬件描述語言呢?有兩個原則,一個是買書的原則,一個是看書的原則。首先,你必須買兩類書,一類是語法書,平常使用的時候可以查一查某些語法;一類是,對語言的使用的講解和使用的方法(如何書寫RTL,如何設計電路,如何調試代碼,使用仿真器等);我用過一年的VHDL和兩年的Verilog;作為過來人,我想介紹一些比較好的書給入門者,避免大家走彎路。
          • 關鍵字: Verilog  RTL  

          新一代IC設計聚焦改善混合信號驗證技術

          •   IC設計業(yè)界目前正研究如何統(tǒng)合Verilog-AMS與IEEE 1800標準的SystemVerilog,或導入模擬混合信號(AMS)成為新的SystemVerilog-AMS標準。   目前四大驗證語言標準有Verilog-A與Verilog-AMS、VHDL-AMS、SystemC-AMS、SystemVerilog-AMS。其中以SystemVerilog-AMS為最新標準,但仍需數(shù)年研究才能供業(yè)界使用。   根據(jù)智財標準設立組織Accellera官網,許多研究正如火如荼進行,聚焦新功能與產
          • 關鍵字: IC設計  Verilog  

          不同的verilog代碼風格看RTL視圖之三

          •   我們來做一個4選一的Mux的實驗,首先是利用if…else語句來做,如下。   (由輸入xsel來選擇輸出的路數(shù)xin0,xin1,xin2,xin3其一,輸出yout)   Ex3:   input clk;   input xin0,xin1,xin2,xin3;   input[1:0] xsel;   output yout;   reg youtr;   always @ (posedge clk)   if(xsel == 2'b00) youtr <
          • 關鍵字: verilog  RTL  

          不同的verilog代碼風格看RTL視圖之二

          •   這次要說明的一個問題是我在做一個480*320液晶驅動的過程中遇到的,先看一個簡單的對比,然后再討論不遲。   這個程序是在我的液晶驅動設計中提取出來的。假設是x_cnt不斷的增加,8bit的x_cnt加一個周期回到0后,y_cnt加1,如此循環(huán),本意是要讓下面的dout信號只有在x_cnt>=5 & y_cnt=0或者x_cnt<= 4,y_cnt=1這個區(qū)間內為1,其它時刻內為0。一般而言會有如下兩種描述,前者是時序邏輯,后者是組合邏輯。當然除了下面兩種編碼風格外,還可以有很
          • 關鍵字: verilog  RTL  

          零基礎學FPGA(十)初入江湖之i2c通信

          •   相信學過單片機的同學對I2C總線都不陌生吧,今天我們來學習怎么用verilog語言來實現(xiàn)它,并在FPGA學習版上顯示。   i2c總線在近年來微電子通信控制領域廣泛采用的一種新型的總線標準,他是同步通信的一種特殊方式,具有接口少,控制簡單,器件封裝形式小,通信速率高等優(yōu)點。在主從通信中,可以有多個i2c總線器件同時接到i2c總線上,所有與i2c兼容的器件都有標準的接口,通過地址來識別通信對象,使他們可以經由i2c總線互相直接通信。   i2c總線由兩條線控制,一條時鐘線SCL,一條數(shù)據(jù)線SDA,這
          • 關鍵字: FPGA  i2c  verilog  

          【從零開始走進FPGA】路在何方——Verilog快速入門

          •   一、關于HDL   1. HDL簡介   HDL : Hardware Discription Language 硬件描述語言,即描述FPGA/CPLD內部邏輯門的工作狀態(tài),來實現(xiàn)一定電路。   隨著EDA技術的發(fā)展,使用硬件語言設計PLD/FPGA成為一種趨勢。目前硬件描述語言有VHDL、Verilog、Superlog、System C、Cynlib C++、C Level等。 各種語言有各種優(yōu)勢,根據(jù)業(yè)界應用而定。   2. VHDL和Verilog區(qū)別   在業(yè)界,VHDL和Veri
          • 關鍵字: FPGA  Verilog  

          不同的verilog代碼風格看RTL視圖之一

          •   剛開始玩CPLD/FPGA開發(fā)板的時候使用的一塊基于EPM240T100的板子,alter的這塊芯片雖說功耗小體積小,但是資源還是很小的,你寫點稍微復雜的程序,如果不注意coding style,很容易就溢出了。當時做一個三位數(shù)的解碼基本就讓我苦死了,對coding style的重要性也算是有一個比較深刻的認識了。   后來因為一直在玩xilinx的spartan3 xc3s400,這塊芯片資源相當豐富,甚至于我在它里面緩存了一幀640*480*3/8BYTE的數(shù)據(jù)都沒有問題(VGA顯示用)。而最近
          • 關鍵字: FPGA  verilog  RTL  

          解讀verilog代碼的一點經驗

          •   學習FPGA其實也不算久,開始的時候參考別人的代碼并不多,大多是自己寫的,那時候做時序邏輯多一些。參加了中嵌的培訓班,一個多月的時間在熟悉ISE軟件的使用以及verilog語法方面下了苦功,也參考了不少書,算是為自己打下了比較好的基礎。因為那時候培訓的方向是軟件無線電方面的,所以做了很多有關的模塊程序,之前的日志里也發(fā)表了很多,關鍵是一個興趣,感覺仿真后看到自己的一個個算法思想得到實現(xiàn)真有成就感。后來停了一段時間,因為實在沒有比較有意思的活干了。   直到前段時間開始使用SP306的開發(fā)板,然后會參
          • 關鍵字: FPGA  verilog  
          共188條 6/13 |‹ « 4 5 6 7 8 9 10 11 12 13 »
          關于我們 - 廣告服務 - 企業(yè)會員服務 - 網站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產品世界》雜志社 版權所有 北京東曉國際技術信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();