<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> vhdl-cpld

          異步FIFO的VHDL設(shè)計

          • 本文給出了一個利用格雷碼對地址編碼的羿步FIFO的實現(xiàn)方法,并給出了VHDL程序,以解決異步讀寫時鐘引起的問題。
          • 關(guān)鍵字: 異步  FIFO  VHDL  設(shè)計  

          VHDL結(jié)構(gòu)體的結(jié)構(gòu)化描述法

          • 在結(jié)構(gòu)體中,設(shè)計任務(wù)的程序包內(nèi)定義了一個8輸入與門(and8)和一個二異或非門(xnor2)。把該程序包編譯到庫中,可通過USE從句來調(diào)用這些元件,并從work庫中的gatespkg程序包里獲取標準化元件。
          • 關(guān)鍵字: VHDL  結(jié)構(gòu)體  結(jié)構(gòu)化  描述法  

          VHDL結(jié)構(gòu)體的數(shù)據(jù)流描述法

          • 據(jù)流描述(dataflow description)是結(jié)構(gòu)體描述方法之一,它描述了數(shù)據(jù)流程的運動路徑、運動方向和運動結(jié)果。例如,同樣是一個8位比較器采用數(shù)據(jù)流法編程
          • 關(guān)鍵字: VHDL  結(jié)構(gòu)體  數(shù)據(jù)流  描述法  

          MAX II CPLD應(yīng)用手冊

          • 無論是設(shè)計通信、消費、計算機或工業(yè)應(yīng)用,MAX?II器件都能夠為成本和功率受限的控制通道應(yīng)用提供所需的功能。MAX II更低的價格,更低的功率和更大的容量使其成為復(fù)雜控制應(yīng)用的理想方案,包括以往不可能在CPLD中實現(xiàn)的新應(yīng)用。MAX II器件采用了全新 CPLD體系結(jié)構(gòu),比以往的MAX器件有重大改進。
          • 關(guān)鍵字: 應(yīng)用手冊  CPLD  

          用VHDL設(shè)計實現(xiàn)的有線頂盒信源發(fā)生方案

          • VHDL是隨著可編輯邏輯器件(PLD)的發(fā)展而發(fā)展起來的一種硬件描述語言。它是1980年美國國防部VHSIC(超高速集成電路)計劃的一部分,并于1986年和1987年分別成為美國國防部和IEEE的工業(yè)標準。作為一種硬件設(shè)計時采用的標準語言,VHDL具有極強的描述能力,能支持系統(tǒng)行為級、寄存器傳輸級和門級三個不同層次的設(shè)計,這樣設(shè)計師將在TOP-DOWN設(shè)計的全過程中均可方便地使用同一種語言。
          • 關(guān)鍵字: VHDL  有線頂盒  信源發(fā)生  方案  

          Verilog語言要素

          • Verilog HDL 中的標識符 (identifier) 可以是任意一組字母、數(shù)字、 $ 符號和 _( 下劃線 ) 符號的組合,但標識符的第一個字符必須是字母或者下劃線。另外,標識符是區(qū)分大小寫的。
          • 關(guān)鍵字: Verilog  語言要素  VHDL  

          Verilog HDL的歷史及設(shè)計流程

          • Verilog HDL 是硬件描述語言的一種,用于數(shù)字電子系統(tǒng)設(shè)計。該語言是 1983 年由 GDA ( GateWay Design Automation )公司的 Phil Moorby 首創(chuàng)的。 Phil Moorby 后來成為 Verilog - XL 的主要設(shè)計者和 Cadence 公司( Cadence Design System )的第一個合伙人。
          • 關(guān)鍵字: VerilogHDL  VHDL  設(shè)計流程  

          基于CPLD/FPGA的出租車計費系統(tǒng)

          • 介紹了出租車計費器系統(tǒng)的組成及工作原理,簡述了在EDA平臺上用單片CPLD器件構(gòu)成該數(shù)字系統(tǒng)的設(shè)計思想和實現(xiàn)過程。論述了車型調(diào)整模塊、計程模塊、計費模塊、譯碼動態(tài)掃描模塊等的設(shè)計方法與技巧。
          • 關(guān)鍵字: CPLD/PPGA  硬件描述語言  出租車計費器  MAX+PLUS軟件  數(shù)字系統(tǒng)  

          用MAX+PLUSⅡ開發(fā)Altera CPLD

          • 介紹利用MAX+PLUSⅡ軟件對Altera公司的CPLD進行圖形設(shè)計、編譯以及在系統(tǒng)編程的基本方法和步驟。
          • 關(guān)鍵字: PlusⅡ軟件  CPLD  在線編程  Max  

          CPLD芯片選型(四)

          • 目前,世界上兩大可編程邏輯芯片制造廠商Lattice、Vantis 強強聯(lián)手,其ispLSI 系列和MACH 系列CPLD 產(chǎn)品具有集成度高、速度快、可靠性強等特點,代表著該領(lǐng)域的很高水平,并且有著豐富的軟件支持,是可編程器件的首選產(chǎn)品之一。
          • 關(guān)鍵字: 可編程邏輯  Lattice  Vantis  CPLD  MACH系列  ispLSI系列  

          SystemVerilog語言簡介

          • Verilog模塊之間的連接是通過模塊端口進行的。為了給組成設(shè)計的各個模塊定義端口,我們必須對期望的硬件設(shè)計有一個詳細的認識。不幸的是,在設(shè)計的早期,我們很難把握設(shè)計的細節(jié)。
          • 關(guān)鍵字: SystemVerilog  語言  VHDL  

          CPLD芯片選型(三)

          • Xilinx CoolRunner 系列CPLD器件分CoolRunner-Ⅱ系列和CoolRunner XPLA 3系列器件。1999年8月,Xilinx收購了Philips的CoolRunner生產(chǎn)線并開始提供XPLA(eXtenden Programmable Logic Array,加強型可編程邏輯陣列)系列器件
          • 關(guān)鍵字: Xilinx  CoolRunner  CPLD  

          HDL語言種類

          • HDL 語言在國外有上百種。高等學(xué)校、科研單位、 EDA 公司都有自己的 HDL 語言?,F(xiàn)選擇較有影響的作簡要介紹。
          • 關(guān)鍵字: HDL  VHDL  種類  

          CPLD芯片選型(二)

          • Xilinx CPLD 器件可使用 Foundation 或 ISE 開發(fā)軟件進行開發(fā)設(shè)計,也可使用專門針對 CPLD 器件的 Webpack 開發(fā)軟件進行設(shè)計。XC9500系列器件分XC9500 5V器件、XC9500XL 3.3V器件和XC9500XV 2.5V器件3種類型,XC9500系列可提供從最簡單的PAL綜合設(shè)計到最先進的實時硬件現(xiàn)場升級的全套解決方案。
          • 關(guān)鍵字: Xilinx  XC9500  CPLD  

          CPLD芯片選型(一)

          • 經(jīng)過幾十年的發(fā)展,全球各大開發(fā)商和供貨商都開發(fā)出了多種可編程邏輯器件 . 比較典型的就是 Xilinx 公司的 FPGA 器件和 Altera 公司的 CPLD 器件系列,他們開發(fā)較早,占有大部分市場?在歐洲用 Xilinx 的人多,而 Altera 公司占有日本和亞太地區(qū)的大部分市場,在美國則是平分秋色。
          • 關(guān)鍵字: Xilinx  Altera  芯片  選型  CPLD  
          共994條 4/67 |‹ « 2 3 4 5 6 7 8 9 10 11 » ›|
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();