<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> xilinx fpga

          TD-LTE綜合測試儀表關鍵模塊的研究與實現

          • 在對OFDM調制以及FPGA、DSP、中頻接口進行深入研究的基礎上,提出了一種TD-LTE系統(tǒng)中下行鏈路基帶信號發(fā)送的實現方案,在系統(tǒng)的設計思路和硬件資源上進行了優(yōu)化。在實際的硬件環(huán)境下,通過大量測試,驗證了該方案的可行性和有效性。
          • 關鍵字: TD-LTE  基帶信號發(fā)送  FPGA  

          基于FPGA的腦機接口實時系統(tǒng)

          • 給出了以FPGA為核心,實現基于瞬態(tài)視覺誘發(fā)電位的腦機接口實時系統(tǒng)的方案。該方案包括腦電采集電路、基于FPGA的VGA視覺刺激器和FPGA開發(fā)板三部分。用FPGA取代計算機,作為腦機接口的控制和信息處理器。利用VHDL編程,在FPGA中實時處理采集的腦電信號,提取并識別瞬態(tài)視覺誘發(fā)電位信號,轉換為控制命令,反饋給視覺刺激器。實驗結果表明,本方案可以有效地實現腦機接口實時系統(tǒng),并達到較高的正確率和通信速度。
          • 關鍵字: 腦機接口  VGA視覺刺激器  FPGA  

          電子齒輪比在FPGA中的實現

          • 伺服系統(tǒng)中采用電子齒輪來匹配指令脈沖當量和位置反饋脈沖當量。本文分析了電子齒輪的工作原理,提出了一種位置指令脈沖處理電路來把三種可能的脈沖輸入形式轉換成統(tǒng)一的可被接受的形式,并在Xilinx ISE開發(fā)環(huán)境下進行VHDL編程,用數字方法實現了電子齒輪比,最后利用Modelsim軟件仿真驗證了該設計的正確性和可行性。
          • 關鍵字: 電子齒輪  Xilinx  位置反饋脈沖  

          基于FPGA具有自適應功能的數據采集系統(tǒng)設計

          • 為了滿足工業(yè)上數據采集的自適應需要,本文采用FPGA設計實現了高速數據采集,整個系統(tǒng)分為高速數據采集模塊、數據緩沖模塊、數據存儲模塊。其中數據采集模塊對濾波放大后的輸入信號進行采樣,采樣率可調;數據緩沖模塊負責對采樣得到的數據進行緩存:數據存儲模塊負責將緩存后的數據傳輸至存儲器進行存儲。使用Quartus Ⅱ仿真工具對各子模塊功能進行了時序仿真,最后介紹了本設計中制作的兩塊電路板并加以調試,測試結果表明本設計滿足系統(tǒng)指標。
          • 關鍵字: 自適應  程控放大器  FPGA  

          基于FPGA實現多路模擬信號自適應采集系統(tǒng)的設計

          • 目前,在PCM/FM遙測體系中模擬信號采集普遍采用8位量化,全部模擬信號均歸一化到O~5 V范圍內,隨著需要采集的模擬信號的類型多樣化,勢必增加信號調理電路的多樣性,不利于系統(tǒng)的簡化和模塊化。在量化位數一定的系統(tǒng)中,被衰減處理的信號中實際量化誤差等于N倍(N是信號被衰減的倍數)的最小量化誤差,因此合理的信號調理電路和A/D取值是保證量化精度的關鍵。本文提供的方式有效地解決了這個問題,既簡化了前端信號調理電路的復雜度,又充分利用了A/D轉換器的輸入電壓動態(tài)范圍和量化位數優(yōu)勢,實現了對多路模擬信號的自適應采集
          • 關鍵字: 數據采集  信號調理  FPGA  

          基于FPGA的數據域邊界掃描測試向量發(fā)生器的設計與實現

          • 設計了一種基于FPGA的邊界掃描測試向量發(fā)生器,該發(fā)生器可以為邊界掃描故障診斷系統(tǒng)提供測試向量,并可計算測試向量的故障覆蓋率。與以往通過軟件提供測試向量的方法相比,該設計在速度和效率上有了較大提高。
          • 關鍵字: 邊界掃描測試向量  故障診斷  FPGA  

          基于FPGA和DSP的微型慣導系統(tǒng)

          • 慣導系統(tǒng)的硬件組成直接影響到系統(tǒng)的體積和解算速度,構建合理的硬件系統(tǒng)直接關系到慣導系統(tǒng)的精度指標。針對某小型慣導系統(tǒng)對體積和解算精度的特殊要求,解決已有微型慣導系統(tǒng)的方案缺陷,提出一種工程實用強的慣導系統(tǒng)。該系統(tǒng)用FPGA作為采集控制慣性傳感器的核心芯片,設計了并行采集方案,32位浮點型高速DSP實現慣導解算。經過轉臺測試與外場試驗表明:系統(tǒng)具有抗干擾能力強、實時響應迅速、慣性單元標定簡便、易實現等優(yōu)點,系統(tǒng)指標完全滿足原設計要求。
          • 關鍵字: 慣導系統(tǒng)  慣性傳感器  FPGA  

          基于FPGA的精跟蹤系統(tǒng)

          • 主要研究空間激光通信系統(tǒng)中精跟蹤技術,根據精跟蹤系統(tǒng)的組成原理與工作原理,設計了一種輕小型化、智能化精跟蹤系統(tǒng),該系統(tǒng)以FPGA作為核心器件,完成光斑圖像采集、圖像濾波、光斑位置計算、數字控制補償函數及脫靶量的實時輸出等功能。同時系統(tǒng)可根據外部環(huán)境變化,自動調整相機閾值、開窗口位置、積分時間。搭建試驗系統(tǒng)完成精跟蹤實驗,實驗表明系統(tǒng)可實現脫靶量實時輸出3 000 Hz以上,符合精跟蹤控制系統(tǒng)技術指標要求。系統(tǒng)在像元分辨力為3μrad的情況下,實時跟蹤均方根值為1.17μrad,滿足空間激光通信對精跟蹤的要
          • 關鍵字: 空間激光通信  精跟蹤技術  FPGA  

          基于FPGA的紅外序列圖像動態(tài)壓縮顯示

          • 高位寬紅外相機數字視頻信號在向8位寬模擬信號線性壓縮顯示轉換時存在細節(jié)丟失的現象。針對這一問題,提出了一種新算法,即先利用高斯濾波器對紅外數字圖像進行低通濾波,然后將低頻圖像進行線性壓縮后再進行直方圖均衡運算,之后與濾波產生的高頻細節(jié)信號進行疊加后送到D/A芯片進行模擬顯示。詳細討論了該算法在FPGA嵌入式系統(tǒng)上的具體硬件結構和實現方法,并對12位相機采集的圖像進行了實時壓縮顯示實驗。
          • 關鍵字: 圖像高頻噪聲  實時壓縮  FPGA  

          基于XC2S600E的MJPEG編碼器研究與實現

          • 以JPEG基本壓縮原理為根據,通過前端圖像采集芯片SAA7111輸出標準的4∶2∶2格式的圖像流,再在Xilinx公司的XC2S600E(FPGA)芯片下壓縮,獲得了良好效果,壓縮比達到10∶1.
          • 關鍵字: JPEG  前端圖像采集  FPGA  

          基于FPGA的網絡化HID電子鎮(zhèn)流器控制芯片的研究

          • 本文利用FPGA作為平臺,設計了包括DALI通訊協(xié)議棧和數字化的恒功率PI控制模塊的HID控制芯片。本文首先利用理論分析和仿真設計給出了通訊協(xié)議與PI控制器的計算機仿真設計與驗證,分析了系統(tǒng)的工作性能,在此基礎上設計出了基于FPGA硬件平臺的DALI的通訊協(xié)議棧,為網絡化鎮(zhèn)流器的設計提供了完備的通訊接口和可供用戶直接調用的通訊協(xié)議編解碼平臺,設計出了可實現全橋HID燈恒流、恒功率逆變功能的數字PI控制模塊,通過實驗驗證,該控制芯片的功能齊全,恒流、恒功率控制精度高、響應快,且控制策略更加靈活和準確可靠,
          • 關鍵字: DALI通訊協(xié)議棧  HID控制  FPGA  

          基于FPGA的ATM采集卡的設計與實現

          • 4口155M異步傳送模式(asynchronous transfer mode,ATM)業(yè)務采集卡實現對4個155 M ATM overSDH/SONET接口的數據采集,基于大容量FPGA(field programmable gate array)實現AAL2/AAL5的線速信元重組,重組后生成的AAL2SSSAR-SDU/AAL5 CPCS-SDU加上時間戳、ATM通道標識后,封裝成以太網報文,通過采集輸出口輸出給信令協(xié)議分析服務器,可實現對Iu-CS、Iu-PS接口的信令監(jiān)測,同時支持cell m
          • 關鍵字: ATM  異步傳送模式  FPGA  

          基于FPGA的高精度超聲波溫度計設計

          • 超聲波溫度計作為當今新型溫度傳感器的一種,已經成為新的有前景的測溫方法,并已經應用于發(fā)電廠、垃圾焚燒爐、水泥回轉窯等工業(yè)過程的溫度測量和控制以及一些醫(yī)療領域中。
          • 關鍵字: 溫度傳感器  超聲波測溫  FPGA  

          基于DSP EMIF口及FPGA設計并實現多DSP嵌入式系統(tǒng)

          • 在實時圖像處理、雷達信號處理、軟件無線電、電子對抗、3G數值仿真計算中,單DSP無法滿足實時性和高速運算量要求,往往需要多DSP進行協(xié)同處理。本文針對DSP的EMIF接口和FPGA的特點,設計8個DSP通信的嵌入式系統(tǒng)。
          • 關鍵字: 多DSP嵌入式系統(tǒng)  EMIF  FPGA  

          基于FPGA和PCI的AFDX終端接口卡設計

          • 航空電子全雙工交換式以太網(AFDX)是在商用以太網的基礎上經過改進實時性和可靠性建立起來的。依據ARINC664規(guī)范第7部分對終端接口卡時延和抖動的性能要求,提出基于FPGA和PCI的AFDX終端接口卡的整體設計方案,對發(fā)送和接收模塊等關鍵模塊進行了設計,并分析了PCI接口驅動程序。測試結果表明,該接口卡實時性好、傳輸速率高、穩(wěn)定可靠,符合AFDX協(xié)議標準。
          • 關鍵字: 全雙工交換式以太網  實時性  FPGA  
          共6755條 79/451 |‹ « 77 78 79 80 81 82 83 84 85 86 » ›|

          xilinx fpga介紹

            Xilinx FPGA   Xilinx FPGA主要分為兩大類,一種側重低成本應用,容量中等,性能可以滿足一般的邏輯設計要求,如Spartan系列;還有一種側重于高性能應用,容量大,性能能滿足各類高端應用,如Virtex系列,用戶可以根據自己實際應用要求進行選擇。 在性能可以滿足的情況下,優(yōu)先選擇低成本器件。   Xilinx FPGA可編程邏輯解決方案縮短了電子設備制造商開發(fā)產品的時間 [ 查看詳細 ]

          熱門主題

          樹莓派    linux   
          關于我們 - 廣告服務 - 企業(yè)會員服務 - 網站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產品世界》雜志社 版權所有 北京東曉國際技術信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();