<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> vhdl-ams

          基于Petri網(wǎng)的并行控制器的VHDL實現(xiàn)

          • Petri網(wǎng)是異步并發(fā)系統(tǒng)建模與分析的一種重要工具,1962年由德國科學(xué)家C.A.Petri博士創(chuàng)立。40多年來,Petri網(wǎng)理論得到了很大的豐富和發(fā)展,其應(yīng)用領(lǐng)域也在不斷擴(kuò)大,越來越受到國際同行的重視,已成為計算機(jī)、自動化和
          • 關(guān)鍵字: VHDL  實現(xiàn)  控制器  并行  Petri  基于  

          一種基于VHDL語言的全數(shù)字鎖相環(huán)的實現(xiàn)

          •  隨著集成電路技術(shù)的不斷進(jìn)步,數(shù)字化應(yīng)用逐漸普及,在數(shù)字通信、電力系統(tǒng)自動化等方面越來越多地運用了數(shù)字鎖相環(huán)。它的好處在于免去了模擬器件的繁瑣,而且成本低、易實現(xiàn)、省資源。本文綜合以上考慮,在一片F(xiàn)PGA
          • 關(guān)鍵字: VHDL  全數(shù)字  鎖相環(huán)    

          基于VHDL的SDRAM控制器的實現(xiàn)

          • 在高速實時或者非實時信號處理系統(tǒng)當(dāng)中,使用大容量存儲器實現(xiàn)數(shù)據(jù)緩存是一個必不可少的環(huán)節(jié),也是系統(tǒng)實現(xiàn)中的重點和難點之一。SDRAM(同步動態(tài)隨機(jī)訪問存儲器)具有價格低廉、密度高、數(shù)據(jù)讀寫速度快的優(yōu)點,從而成
          • 關(guān)鍵字: 實現(xiàn)  控制器  SDRAM  VHDL  基于  

          基于Multisim的VHDL建模與仿真

          • 摘要:主要分析了QuartusⅡ的特點和虛擬仿真軟件的優(yōu)越性,以交通燈控制系統(tǒng)為例,介紹了在虛擬仿真軟件Multisim平臺上使用VHDL硬件描述語言進(jìn)行程序編寫、電路建模和仿真的方法。仿真實驗證明了該方法的有效性。
            關(guān)
          • 關(guān)鍵字: Multisim  VHDL  電路仿真  交通燈  

          FCSR原理及其VHDL語言的實現(xiàn)

          • 摘 要:偽隨機(jī)序列發(fā)生器是序列密碼設(shè)計中的重要環(huán)節(jié),F(xiàn)CSR是其中一類重要思想。本文介紹了FCSR的特性和產(chǎn)生方法,并用VHDL語言予以實現(xiàn),給出FCSR序列的主程序和仿真波形,最后指出需要注意的問題。關(guān)鍵詞:進(jìn)位移
          • 關(guān)鍵字: FCSR  VHDL  原理    

          通用異步串行接口的VHDL實用化設(shè)計

          • 摘 要:通用異步串行接口(Universal AsynchrONous Receiver TraNSmitter,UART)在通信、控制等領(lǐng)域得到了廣泛應(yīng)用。根據(jù)UART接口特點和應(yīng)用需求,以提高VHDL設(shè)計的穩(wěn)定性和降低功耗為目標(biāo),本文討論了UART接口中時鐘
          • 關(guān)鍵字: VHDL  異步串行  接口    

          基于VHDL的彩燈控制

          • 隨著科技日新月異的發(fā)展,在現(xiàn)代生活中,彩燈作為一種景觀,安裝在建筑物的適當(dāng)?shù)胤揭皇亲鳛檠b飾增添節(jié)日氣氛,二是有一種廣告宣傳的作用;用在舞臺上增強(qiáng)晚會燈光效果。對動態(tài)燈光實時控制的裝置很多,如電腦編程4路
          • 關(guān)鍵字: VHDL  彩燈控制    

          基于VHDL語言的FPGA簡易數(shù)字鐘設(shè)計

          • 作為一個菜鳥我很愿意分享下我做的一些小東西,記得一年前好像少幾天吧,看記錄是2009年5月19日我用51單片機(jī)做數(shù)字鐘的情景,那個時候用匯編,焦頭爛額,做了三天,還請教了老師。哎,現(xiàn)在都已經(jīng)用C了,而且重心已經(jīng)
          • 關(guān)鍵字: 數(shù)字  設(shè)計  簡易  FPGA  VHDL  語言  基于  

          基于VHDL實現(xiàn)多路彩燈控制器設(shè)計應(yīng)用

          • 一、多路彩燈控制器設(shè)計原理設(shè)計一個彩燈控制程序器??梢詫崿F(xiàn)四種花型循環(huán)變化,有復(fù)位開關(guān)。整個系統(tǒng)共有三個輸入信號CLK,RST,SelMode,八個輸出信號控制八個彩燈。時鐘信號CLK脈沖由系統(tǒng)的晶振產(chǎn)生。各種不同花
          • 關(guān)鍵字: VHDL  多路  燈控  制器設(shè)計    

          VHDL-AMS在控制系統(tǒng)分析與設(shè)計中的應(yīng)用

          • 1 引 言EDA是現(xiàn)代電子系統(tǒng)設(shè)計的關(guān)鍵技術(shù)。硬件描述語言VHDL以其“代碼復(fù)用”(code re-use)遠(yuǎn)高于傳統(tǒng)的原理圖輸入法等諸多優(yōu)點,逐漸成為EDA技術(shù)中主要的輸入工具。然而,基于IEEE VHDL Std 1076-1993標(biāo)準(zhǔn)
          • 關(guān)鍵字: VHDL-AMS  控制系統(tǒng)  分析  中的應(yīng)用    

          基于VHDL +FPGA 的自動售貨機(jī)控制模塊的設(shè)計與實現(xiàn)

          • EDA技術(shù)是以計算機(jī)為工具完成數(shù)字系統(tǒng)的邏輯綜合、布局布線和設(shè)計仿真等工作。電路設(shè)計者只需要完成對系統(tǒng)功能的描述,就可以由計算機(jī)軟件進(jìn)行系統(tǒng)處理,最后得到設(shè)計結(jié)果,并且修改設(shè)計方案如同修改軟件一樣方便。利用
          • 關(guān)鍵字: VHDL  FPGA  自動售貨機(jī)  控制模塊    

          基于EP1C6Q240C8和VHDL的定時器模塊化方案設(shè)計

          • 本設(shè)計采用可編程芯片和VHDL語言進(jìn)行軟硬件設(shè)計,不但可使硬件大為簡化,而且穩(wěn)定性也有明顯提高。由于可編程芯片的頻率精度可達(dá)到50 MHz,因而計時精度很高。本設(shè)計采用逐位設(shè)定預(yù)置時間,其最長時間設(shè)定可長達(dá)99小
          • 關(guān)鍵字: 240C  Q240  VHDL  240    

          全同步數(shù)字頻率計的 VHDL設(shè)計與仿真

          • 1 引 言

            頻率測量不僅在工程應(yīng)用中有非常重要的意義,而且在高精度定時系統(tǒng)中也處于核心地位,plusmn;1個計數(shù)誤差通常是限制頻率測量精度進(jìn) 一步提高的重要原因。由于測頻技術(shù)的重要性,使測頻方法也有了很大的發(fā)
          • 關(guān)鍵字: VHDL  數(shù)字頻率計  仿真    

          基于VHDL的直流電機(jī)控制功能模塊設(shè)計

          • 仝 勇 陶東婭 金銀旗
            (1.臺州職業(yè)技術(shù)學(xué)院機(jī)電工程學(xué)院 2.臺州市光躍飲水設(shè)備有限公司)
            摘要:介紹了一種基于VHDL的直流電機(jī)控制功能模塊的設(shè)計方案。用硬件描述語言VHDL寫代碼生成功能模塊IP核,并通過SOPC Bu
          • 關(guān)鍵字: 功能模塊  設(shè)計  控制  電機(jī)  VHDL  直流  基于  

          基于VHDL的直流電機(jī)控制功能模塊設(shè)計

          • 隨著社會的發(fā)展,直流電機(jī)的應(yīng)用越來越普遍,對直流電機(jī)控制方式的要求也不斷提高。本文利用ALTERA公司最新開發(fā)...
          • 關(guān)鍵字: VHDL  直流  電機(jī)控制  
          共326條 12/22 |‹ « 10 11 12 13 14 15 16 17 18 19 » ›|

          vhdl-ams介紹

            即IEEE 1076.1標(biāo)準(zhǔn)。   VHDL-AMS是VHDL的一個分支,它支持模擬、數(shù)字、數(shù)?;旌想娐废到y(tǒng)的建模與仿真。   http://www.eda.org/vhdl-ams/   Verilog-AMS與之類似。支持模擬、數(shù)字、數(shù)?;旌想娐废到y(tǒng)的建模與仿真。   http://www.eda.org/verilog-ams/   The VHDL-AMS language [ 查看詳細(xì) ]

          熱門主題

          VHDL-AMS    樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();